High Speed

A new architecture to compute the discrete cosine transform using the quadratic residue number system

Residue Number System / Fixed Point Theory / Look up Table / Discrete Cosine Transform / High Speed / Word length / Propagation delay / Word length / Propagation delay

A low jitter, low power, CMOS 1.25-3.125Gbps transceiver

Low Power / Power Supply / High Speed / Solid State Devices and Circuits

A new architecture to compute the discrete cosine transform using the quadratic residue number system

Residue Number System / Fixed Point Theory / Look up Table / Discrete Cosine Transform / High Speed / Word length / Propagation delay / Word length / Propagation delay

A FIFO Data Switch Design Experiment

Data Dependence / Circuit Design / High Speed / Chip / FIFO / Asynchronous / Data Flow Diagram / Asynchronous / Data Flow Diagram

FPGA-based data acquisition system for a Compton camera

Data Structure / Data acquisition / Field Programmable Gate Array / High Speed / Analog to Digital Conversion / Data Acquisition System

The Tera computer system

High performance / High Speed / Spectrum / Performance Ratio

Towards New Transport Services to Support Distributed Multimedia Applications

Distributed Computing / Distributed System / Multimedia Networking / Computer Software / High Speed / Distributed Multimedia Applications / Communication Protocol / computer Communication / Design and Implementation / Multimedia Application / Possibility Distribution / Network Interface / Distributed Multimedia Applications / Communication Protocol / computer Communication / Design and Implementation / Multimedia Application / Possibility Distribution / Network Interface

Timed circuits: a new paradigm for high-speed design

Intellectual Property / Asynchronous circuit design / Efficiency Analysis / Circuit Design / High Speed / System on a Chip / Cores / System on a Chip / Cores

Timed circuits: a new paradigm for high-speed design

Intellectual Property / Asynchronous circuit design / Efficiency Analysis / Circuit Design / High Speed / System on a Chip / Cores / System on a Chip / Cores

A diagnosis support system for capsule endoscopy

Humans / Physicians / High Speed / Time Factors / Sensitivity and Specificity / Support System / Wireless Capsule Endoscopy / Capsule Endoscopy / Video Recording / Support System / Wireless Capsule Endoscopy / Capsule Endoscopy / Video Recording

Towards a Queue Sensitilve Transport Protocol

Congestion Control / Experimental Evaluation / High Speed / Real Time Application / Performance Computing / Round Trip Time / TCP Vegas / Round Trip Time / TCP Vegas

A reconfigurable digital signal processing system for eddy currents non-destructive testing

Digital Signal Processing / Non Destructive Testing / Field Programmable Gate Array / Eddy Current / High Speed / Universal Serial Bus / Digital to Analog Converter / Universal Serial Bus / Digital to Analog Converter

A reconfigurable digital signal processing system for eddy currents non-destructive testing

Digital Signal Processing / Non Destructive Testing / Field Programmable Gate Array / Eddy Current / High Speed / Universal Serial Bus / Digital to Analog Converter / Universal Serial Bus / Digital to Analog Converter

Impulsão dinâmica da transposição da barreira. Alterações na capacidade de produção mecânica do complexo músculo- -tendinoso provocadas pela instalação da fadiga

Kinetics / High Speed / Ground Reaction Force / Body Mass / Video Recording / Regression equation / Power Output / Regression equation / Power Output
Copyright © 2017 DADOSPDF Inc.